Ep optima synopsis


Contact Point Duration Dr.Fredi Schubert 33 months from 01.04.97



Yüklə 1,25 Mb.
səhifə13/14
tarix26.10.2017
ölçüsü1,25 Mb.
#15023
1   ...   6   7   8   9   10   11   12   13   14

Contact Point Duration

Dr.Fredi Schubert 33 months from 01.04.97


Schott ML GmbH

Jerusalemer Straße 13

D-98606 Meiningen, (Germany)
Tel: +49 3693 522574

Fax: +49 3693 710914

E-mail: Schott-ML.Masks@t-online.de

EP 23166 ELDS

L-STRIPPER - Assessment of an excimer laser based tool to achieve perfect dry single step resist and polymer stripping for sub-micron technology
Summary

Removal of tough compounds which are formed during semiconductor wafer fabrication, is one of the tough challenges in photoresist stripping of sub half micron semiconductor wafers. The L-Stripper, a novel DUV-excimer laser based system, was developed by Oramir Ltd. to solve those demands. The fully automatic equipment comprises an industrial excimer laser, specially designed UV optics and a process chamber where the stripping process is achieved.

The L-Stripper will be evaluated for its capability to achieve perfect dry single step (no post dry strip wet processing) resist and polymer stripping on deep sub-micron 150 mm and 200 mm semiconductor wafers.

Expected results include single step dry photoresist stripping with reduction of cost of ownership (CoO), improved process yield and uptime.


Objectives

· To evaluate the L-Stripper system to obtain:


· Photoresist stripping results for the advanced processes of sub-micron technologies.
· Cost of ownership figures for reference to other equipment.
· Assessment of installation and equipment functionality for future improvements.
· Assessment of yield improvement.
Participants

Fraunhofer Institute for Solid State Technology (D); Oramir Ltd (NL), Alcatel-Mietec (B); Philips (NL); Siemens (D).
Contact Point Duration

Peter Ramm 18 months from 01.04.97

Armin Klumpp

FhG-IFT

Hansastr. 27D

80686 MÜNCHEN (Germany)
tel: +49 89 54759299

fax: +49 89 54759100

E-mail: klumpp@ift.fhg.de
EP 24030 APC

Advanced Photomask Cleaning

Summary

The goal of this project is the assessment of Steag HamaTech`s newly developed automated photomask cleaner (cassette to cassette) ASC 500. The assessment is to be carried out at the Siemens Mask Shop with masks for different applications.


The result of the assessment of the ASC 500 will be a benchmark showing best of breed features: process performance, yield, reliability, uptime, cycle-time and cost-of-ownership.


Objectives

· To integrate and evaluate the ASC 500 cleaner as one of the most important tools in the mask making process for production masks meeting the requirements of 0.25µm wafer technology.


· To prove the process quality of the ASC 500 (zero defects larger than 0.20µm), mean time to failure (MTTF) and mean time to repair (MTTR).
· For the evaluation of the ASC 500, a variety of masks (production masks and special test masks) with differing applications (CMOS/ASICs/Logic), mask types (Binary/ Phase shift masks) and production methods (E-beam/ Laser writing) will be used.
Participants

Siemens AG (D); Steag Hamatech GmbH(D); Compugraphics International Ltd. (UK), DuPont Photomasks (USA, D); MZD (D)
Contact Point Duration

Bernd Hay 14 months from 01.02.97

SIEMENS AG

HL MAT PPT

Balanstrasse 73

81617 Munich (Germany)
tel: +49 89 4144-8038

fax: +49 89 4144-3029

E-mail: bernd.hay@hl.siemens.de

EP 20757 AUTOWET

AUTOmatic WET Bench for Critical Pre-Oxidation Treatments for Sub-Half Micron Applications
Summary

As Flash Memory products are getting more and more complex, the processes needed to produce these devices are getting more detailed and critical, while manufacturing costs and environmental friendliness are a must at the same time.

To satisfy the above-mentioned requirements, the implementation in production of a new simplified cleaning concept (Twin Clean) with diluted chemistries and all the necessary hardware features to satisfy stringent process requirements (such as a HF concentration monitoring system) is the goal of the project.
Objectives

· Reduction of CoO through a reduced chemical and DI water consumption per processed wafer and an increased throughput.


· Increase of the product yield through the improvement of the quality of critical active dielectrics.
· Reduction of the environmental impact through the simplification of the cleaning cycle and the elimination of dangerous chemicals.
· Set-up and characterisation with critical applications of a HF point-of-use monitoring system with software active automatic intervention on process parameters.
· Feedback and experience on the implementation in a production environment of a new cleaning concept.
· Strong cooperation among industrial users.
Participants

SGS-Thomson Microelectronics (I), Philips Semiconductors (NL), Steag Microtech GmbH (D)
Contact Point Duration

Mauro Alessandro 18 months from 01.12.95

SGS-Thomson Microelectronics

Via Olivetti 2

20041 Agrate Brianza (MI) (Italy)
tel: +39 39 6035852

fax: +39 39 6035233

E-mail: mauro.alessandro@st.com

EP 21981 APPLE

Advanced Polishing and Planarisation

Equipment
Summary

The performance of a Chemical Mechanical Polishing (CMP) cluster with the potential for 300 mm wafer processing, delivered by P. Wolters, is to be assessed and improved under IC manufacturing conditions at TEMIC in Heilbronn, Germany. A complex technological assessment programme is foreseen as well as intensive work on reliability and uptime improvement and reduction of chemicals consumption to achieve competitive cost of ownership figures.


Objectives

· To improve throughput restrictions of CMP planarisation by clustering 2 to 3 polishing heads with a single double sided cleaning unit for 150 and 200 mm wafer processing.


· Better performance, lower cost of operation and flexibility for different CMP applications are targeted.
· Incorporate robotic handling, SMIF I/O, barcode reader, SECs/ GEM interface and endpoint detection.
· Later use of the tool for CMP planarisation of metal or high performance bulk silicon wafer polishing.
Participants

Temic (D); P. Wolters (D); SMST (D); Siemens (D); Wacker (D); AMS (A); FhG-ISiT (D); FhG-IIS (D).
Contact Point Duration

Heinz-Achim Hefner 18 months from 01.04.96

TEMIC

Theresienstr. 2 (PO Box 3535)

D-74072 (Germany)
tel: +49 7131 67 2379

fax: +49 7131 67 2933

E-mail: hhefner@temic-hn.de

EP 20580 ESCAPE-NET

Total Environmental Fab Waste Gas Management via Networked and Monitored ESCAPE Disposal Systems
Summary

The performance of a monitored network of DAS ESCAPE waste gas abatement systems will be assessed in the production line of the IC manufacturer ZMD.

Four critical disposal processes have been selected to demonstrate the technical performance of the networked ESCAPE system under production conditions, together with the cost advantage of monitored networks of abatement systems over the same number of independently used ones.
Objectives

· To evaluate the emission of waste-gas of Al, TiN/Al, and SiO dry etching processes using CF4, CHF3, C2F6, BCl, Cl2, SiCl4.


· To evaluate waste-gas emission of SiO, PSG and SiN CVD using SiH4, PH3 ,TEOS, DCS, NH3.
· To assess and minimise the cost of ownership of above mentioned disposal processes based on network data collection by ESCAPE-NET.

Participants

ZMD (D); DAS (D); Alcatel Mietec (B).
Contact Point Duration

Guenter von Ruthendorf 12 months from 08.12.95

ZMD GmbH (PO Box: 800134)

Grenzstr. 28

D-01101 Dresden (Germany)
tel: +49 351 8822-287

fax: +49 351 8822-276

E-mail: teucher@zmd-gmbh.de

EP 23042 TRIO

Optimally integrated vacuum/abatement/monitoring equipment for demanding semiconductor manufacturing processes (TRIO-VAMP)
Summary

In order to meet the customer needs for more efficient, cost-effective vacuum/ abatement/monitoring methods, Leybold Vakuum GmbH developed a new component system in a joint venture with DAS GmbH, a leading European waste gas abatement specialists. This system, TRIO, comprises a dry vacuum pump, hazardous waste gas abatement, and monitoring of status, including control. This new concept was introduced to the market at Semicon-Europa (April 1996), where the prototype attracted a lot of attention. Leybold assumes product responsibility and asks a major IC fab and the worldwide leading equipment manufacturer to support TRIO by participating in an SEA project.


Expected results include reduction of CoO for vacuum/abatement/monitoring through high mean-time-between-failure, low mean-time-to-repair, and high abatement efficiency.
Objectives

The objectives of the TRIO-VAMP SEA are to evaluate the TRIO at a semiconductor manufacturing facility, together with an equipment manufacturer, in order to obtain:



  • qualification for a new generation of dry vacuum pump, including treatment of waste gases, for critical manufacturing processes, e.g. metal etching, with leading U.S. fabrication equipment

  • cost-of-ownership figures in running production for reference and competitive sales

  • assessment of functionality in crucial running regimes for further improvements

  • established references from semiconductor manufacturing end-users and guarantee of compatibility from major equipment manufacturers for optional purchase by future customers

The proposed evaluation will give Leybold the unique opportunity to have its equipment evaluated by an industrial user as well as a wafer fabrication equipment maker. A broad base of fab managers, facilities managers, and processes are included in the evaluation.
Participants

Texas Instruments Deutschland GmbH (D); Applied Materials (D); FhG-ISiT (D); Leybold (D)
Contact Point Duration

Dr. Helmut Endl 12 months from 01.12.96

Texas Instruments Deutschland GmbH

Wafer Fab

Haggertystr. 1

D-85356 FREISING (Germany)
tel: +49 8161 80 4428

fax: +49 8161 80 3350

E-mail: dfeh@msg.ti.com

EP 22207 RETIMATIC

Dual carousel semi-automatic reticle stocker
Summary

The performance of the dual carousel semi-automatic reticle stocker developed by Dynamic Microsystems is to be assessed and improved. Multiple reticle changes and high number of reticles in use typify the ASIC business, which seeks to improve the problem in manageable proportions with this reticle cassette stocker.


Objectives

· To demonstrate under real manufacturing conditions the productivity and cost of ownership benefits of this fast reticle retrieval (10 secs) and dense (1000 reticles in 1.5 m² footprint) ASIC reticle storage machine.


· To show satisfactory operation of the stocker supervising computer when linked to the fab CIM system.
Participants

Alcatel Mietec (B), Dynamic Microsystems (D), Matra MHS (F)
Contact Point Duration

Edgar Laes 11 months from 01.03.96

Alcatel-Mietec

Westerring 15

9700 Oudenaarde (Belgium)
tel: +32 55 332245

fax: +32 55 332647

E-mail: ed_laes@mietec.be

EP 20379 IMPROVE

In-line monitor for process optimization and verification
Summary

This project will assess, in a process environment, the SOPRA Multi-Layer Monitor (MLM) which is an automatic, clean-room compatible, high throughput, optical monitoring instrument based on multi-channel spectroscopic ellipsometry. The machine is capable of measuring, non-destructively, up to five layers simultaneously on patterned product wafers, providing information on thickness, crystallinity, composition, refractive index and roughness, together with uniformity mapping. The outcome of the project will be a machine with an operator-level methodology for important CMOS/Bipolar process steps with quantified capabilities/benefits.


Objectives

· Improve current version of machine by: (a) reducing minimum wavelength to operate in UV for ultra thin oxide/nitride/oxide multilayers, (b) reducing spot size to 100x200 micron to allow measurements in test pads as well as scribe lines, and (c) incorporating alloy composition determination procedure in software.


· Assess accuracy and precision (repeatability) on a wide range of structures by comparison with off-line measurements.
· Assess ability to discriminate changing layer characteristics both within batches and from batch-to-batch, together with throughput and reliability, by extensive trials on selected representative structures from mainstream CMOS technology demonstrators and advanced Bipolar systems.
· Optimize ease-of-use by customization of analysis methodology for representative structures.
Participants

DRA (UK); Sopra (F); GPS (UK); Temic telefunken microelectronic (D); AMS (A); RAL (UK).
Contact Point Duration

Dr Christopher Pickering 15 months from 01.01.96

Defence Research Agency

St Andrews Road

MALVERN

WORCS WR14 3PS (United Kingdom)
tel: +44 (0)1684 894898

fax: +44 (0)1684 894311

E-mail: cpickering@dra.hmg.gb

EP 20649 HRAS

High Resolution Analytical SEM
Summary

This project will assess an analytical Scanning Electron Microscope (SEM) from LEO (ex-ZEISS), which offers a unique combination of state-of-the-art resolution in a low-voltage regime with the high-beam current of a Schottky heated field emission electron source. The advantage of this machine over the main competitors, Hitachi and Jeol, is a better signal-to-noise ratio and a significantly higher sensitivity in materials' analyses. The outcome of the project will be a machine upgraded to meet the online needs of defect/particle and failure analysis laboratories, today handled offline by the semiconductor manufacturers.


Objectives

Improve current version of machine by:


· improving the handling and equipping the tool with the proper load-lock, anticontaminator, and stage.
· simplifying operations with completely menu-driven parameter sets.
· adding missing link from inline review-stations to offline analysis.
· reducing maintenance frequency with a better performing contamination protection in the column.


Participants

Siemens (D); Leo (D); ZMD (D).
Contact Point Duration

Jérôme Touzel 18 months from 01.12.95

SIEMENS AG

Otto-Hahn -Ring 6

81739 München (Germany)
tel: +49 89 636 53281

fax: +49 89 636 44236

EP 22072 ILETIC

In-line ellipsometer for thickness control
Summary

The assessment of the Plasmos SD 400 ellipsometer is to be carried out in the production environment of SMST. The result will be a benchmark showing best of breed features: precision, measurement capabilities (e.g. interferometer emulation for thin and thick layers, poly-Si, multilayers, multipurpose), throughput, cost-of-ownership.


Objectives

· To integrate and evaluate the SD 4000 in a vertical furnace process cell of a high volume 4 Mb, 0.8 micron process line.


· To prove its versatility, accuracy and cost effectiveness for measuring a range of thin, single and multiple films.
· The layers to be evaluated include single layers in the range 4 nm - 1000 nm, multiple layers of ONO, OPO and monitoring of CMP processes.
Participants

SMST (D); Plasmos (D); Siemens (D)
Contact Point Duration

Martin Hafner 12 months from 01.04.96

SMST

HL 6435 Prozeßtechnik

Schickardstraße 25

1460 Böblingen (Germany)
tel: +49 7031 184625

fax: +49 7031 185175

E-mail: mhafner@vnet.ibm.com

EP 22158 METEOR

Metrology Equipment Test for Overlay Reading for Sub-micron Technology
Summary

The performance of the JMG overlay measurement tool ALARM 2 (evolution of ALARM 1, formerly supplied by Nanomaster) is to be assessed and improved at GRESSI, Grenoble, France. The overall equipment precision necessary to measure overlay figures as required by 0.18/0.25 micron IC technologies and 300 mm wafer handling capability are the main targets of the project


Objectives

· To measure photolithography overlay with a high precision of 6-8 nm for the 60-80 nm overlay budgets used in 0.25/0.18 micron resolution lithography on 150 to 300 mm wafers.


· High throughput (90 wafers/hour, 5 points), good measurement performance of difficult grainy layers and flat CMP surfaces, and low added contamination (<0.01 particles./cm²/pass) are targeted.
· Evaluate fast measurement mode in two directions which calculates average overlay to suppress tool induced shift (TIS) bringing both improved accuracy and good long term repeatability.
Participants

Gressi (F); JMG (F); Matra MHS (F); IBM (F); Centre Commun CNET ST (F); AT&T (E).
Contact Point Duration

Gilles Fanget 12 months from 01.02.97

GRESSI

rue des Martyrs 17

F 38054 (France)
tel: +33 76 88 4918

fax: +33 76 88 3034

E-mail: Gilles.FANGET@macmel.ceng.cea.fr

EP 22205 ESAMA

European scanning acoustic microscope assessment
Summary

The scanning acoustic microscope (SAM) developed by Ultrasonic Sciences Ltd. will be assessed. The equipment, which uses high frequency imaging and characterisation techniques will be evaluated for its improved capability for non-destructive inspection and investigation of plastic encapsulated semiconductor components. Generation of multiple images from a single scan will enable several fault features at different levels (die attach chip delamination, plastic voids) in the package to be examined simultaneously. Measurement features will be easily changeable during the assessment by using fast reprogrammable FPGAs in the DSP200 boards.


Objectives

· To assess the mechanical scanning unit for high inspection rate, from single component to JEDEC tray size.


· To assess the ultrasonic instrumentation and software (new DSP board for gate peak detection).
· To evaluate the software for control and image generation/assessment.
Participants

Alcatel Mietec (B); Ultrasonic Sciences (UK); Analog Devices (IRL); Anam (Korea)
Contact Point Duration

Gust Schols 12 months from 01.04.96

Alcatel Mietec

Westerring 15

9700 Oudenaarde (Belgium)
tel: +32 55 332342

fax: +32 55 332647

E-mail: gu_schols@mietec.be

EP 22206 EMMEA

Electromigration monitoring equipment assessment
Summary

The assessment of the DESTIN electromigration test system will be carried out by three semiconductor manufacturers. Normally high currents have been used to speed up electromigration test results but correlation with real-time results is poor. Here the approach is very accurate measurement of degradation resistance changed at low stress currents to give better correlation with real-time.


Objectives

· To reduce test time in electromigration tests targeting low current 0.1 mA/cm², more appropriate to real life, and to perform very accurate measurement of resistance degradation at a very accurately held temperature ( = 0.0085 °C) to obtain credible results in about 10 days, and low costs per DuT (Device under Test).


· To establish a new standard for electromigration testing with reduced test time using in-situ degradation monitoring, opening up a whole new family of reliability systems using the same principles.
Participants

Alcatel Mietec (B), Destin (B), Philips (NL), Siemens (D)
Contact Point Duration

Gust Schols 15 months from 01.04.96

Alcatel Mietec

Westerring 15

9700 Oudenaarde (Belgium)
tel: +32 55 332342

fax: +32 55 332647

E-mail: gu_schols@mietec.be

EP 22350 COTRED

Cost of Test Reduction
Summary

The performance of the new generation ITS9000CV of Schlumberger will be assessed at the IC manufacturing facilities of SGS-Thomson in Rousset, France. To lower IC production costs it is the aim of the project to demonstrate cost of IC test reduction by a factor of two. Production batches of different ICs will be run and test costs will be compared between the ITS9000CV and the previous generation testing equipment of the same supplier.

The evaluations will be done using two high volume products; one, a mixed function microcontroller, the other, a pure digital device.
Objectives

· To prove the new generation ITS 900CV tester, which is specifically targeted at the microcontroller market, in the high volume production line of SGS-Thomson in Rousset.


· To demonstrate the effectiveness of the hardware and software developments achieved during previous projects.
· To reduce the ‘Cost of Test’ by a factor of two over the equipment’s predecessor.
· To compare ‘Cost of Test’ using ‘parallel by four’ of a ‘parallel by two’ format.
Participants

SGS-Thomson (F); Schlumberger (F); Matra MHS (F).
Contact Point Duration

Herve Deshayes 10 months from 01.03.96

SGS-Thomson

ZI de Rousset (BP 2)

F-13106 Rousset Cédex (France)
tel: +33 42 25 89 05

fax: +33 42 25 89 93

E-mail: hervé.deshayes@st.com
EP 24364 BAGINEA

Ball grid array inspection equipment assessment
Summary

Ball grid arrays (BGAs) are a relatively new type of components, which offer numerous advantages over leaded components and are expected to grow strongly in the coming years. Annual growth rates of 50% are forecast.

At present there are very few systems on the market for three-dimensional inspection of BGAs. They are all laser based and are adaptations of existing systems for inspection of leaded components.

ICOS from Heverlee in Belgium, has developed an inspection technique which is dedicated to BGA packages and offers several major advantages over laser systems.

The assessment of the LI-8250 3D BGA inspection system is to be carried out in the production environment of Mietec. The equipment will be benchmarked primarily against competing American equipment. Parameters to be assessed include accuracy, repeatability, throughput, mean-time-between-failure, uptake and change-over speed. A cost of ownership model will be defined.
Objectives

· To evaluate the capability of the LI-8250 system to perform a 100% cost effective 3d inspection of BGA components.


· To prove the capability, accuracy and cost effectiveness of the system to perform as well 3D inspection of leaded components.
· To evaluate the change-over speed from one package type to another.
· To benchmark the equipment against competing inspection systems.

Participants

Mietec (B); ICOS (B); SGS-Thomson (F); Anam Industrial Co. (KR)
Contact Point Duration

Gust Schols 12 months from 01.02.97

Alcatel Mietec

Westerring 15

Oudenaarde 9700 (Belgium)
tel: +32.55.332342

fax: +32.55.332647

E-mail: gu_schols@mietec.be

EP 26233 FANETA

Failure analysis plasma etch equipment assessment
Summary

Failure analysis, on both packaged and unpackaged dies, is of crucial importance for a semiconductor manufacturer. Through results obtained from failure analysis, waferfab manufacturing processes are adapted and tuned in order to improve the yields.


The etch process capabilities of the NE860 high density RIE/Microwave plasma etch system of Nextral are to be assessed . This system has been developed for sample preparation for e-beam measurements on new generation, deep-submicron products. It makes use of a microwave generated plasma with RF bias for dielectric depassivation down to 3 (or more) metal layers, while maintaining the full functionality of the product.
Objectives

  • To evaluate the capability of the NE860 system for the clean, anisotropic depassivation of packaged dies, while maintaining the full functionality of the product.




  • To evaluate the capability of the system for reverse engineering of wafers up to a diameter of 200 mm for deep-submicron technologies with geometries down to 0.25  and below and with up to 6 metal layers.




  • To evaluate the capability of the system for localised backside thinning of packaged dies for IR inspection or light emission microscopy.




  • To evaluate the capability of the system for selective deprocessing of packaged dies, for failure analysis.




  • To benchmark the equipment against competition systems.


Participants

Mietec (B); Nextral (F); SGS-Thomson Microelectronics (F); Siemens (D).


Contact Point


Duration

Dr. Gust SCHOLS

Alcatel Mietec

Westerring 15

B-9700 OUDENAARDE (Belgium)


12 months from 01.01.1998

Tel: +32 - 55 33 23 42

Fax: +32 - 55 33 26 47

E-mail: gu_schols@mietec.be




EP 23657 SEA 300

SEA 300

Summary

International and national initiatives as I300I in Austin (US) and SELETE in Yokohama (Japan) have been launched to ensure 300 mm semiconductor manufacturing equipment is available at the right time at lowest possible costs and risk. The equipment to be demonstrated as well as the still very expensive 300 mm silicon wafers are expected to be provided for free. Under these conditions only a few European equipment suppliers are able to participate in such demonstration tests in different places in the world. Many of them need tests and the corresponding services also in Europe. As part of the SEA initiative SEA 300 offers the European equipment companies a phased and effective path to 300 mm activities.


Objectives

The overall objective of the SEA 300 initiative is to support demonstration of European semiconductor manufacturing equipment in the global conversion process to 300 mm wafer processing. This will be done by


· Attraction of IC manufacturers from all over the world to Demonstration Test sites,
· Provision of 300 mm wafer (blank and processed), metrology and analytical services,
· Dissemination of equipment test results to potential customers.
To reach the above mentioned objectives a Dissemination Centre will be established at RAL (UK) and a Service Centre at GRESSI (F).
Participants

Rutherford Appleton Laboratory /RAL/ (UK); Grenoble Sub-micron Silicon (GRESSI) (F).


Yüklə 1,25 Mb.

Dostları ilə paylaş:
1   ...   6   7   8   9   10   11   12   13   14




Verilənlər bazası müəlliflik hüququ ilə müdafiə olunur ©muhaz.org 2024
rəhbərliyinə müraciət

gir | qeydiyyatdan keç
    Ana səhifə


yükləyin